/begin_header /identifier_product_doi=10.5067/SeaBASS/LAKESUPERIOR/DATA001 /received=20181203 /investigators=Colleen_Mouw /affiliations=University_of_Rhode_Island /contact=cmouw@uri.edu /experiment=LakeSuperior /cruise=LCCMR201407 /station=LCCMR6 /data_file_name=LCCMR20140725_LCCMR6_aop_surface.sb /documents=LCCMR201407_cruiselog.txt,SatlanticProfiler_Mouw_2014.pdf /data_type=cast /calibration_files=See_method_description /data_status=final /start_date=20140725 /end_date=20140725 /start_time=14:06:00[GMT] /end_time=14:06:00[GMT] /north_latitude=46.9407[DEG] /south_latitude=46.9407[DEG] /east_longitude=-91.3493[DEG] /west_longitude=-91.3493[DEG] /water_depth=125.00 /measurement_depth=NA /cloud_percent=NA /wind_speed=NA /wave_height=NA /missing=-9999 /delimiter=tab ! ! minimum_distance_from_ship = 10 m ! sun_direction = 350 deg ! /fields=depth,Es348.3,Es351.7,Es355.0,Es358.3,Es361.7,Es365.0,Es368.3,Es371.6,Es375.0,Es378.3,Es381.7,Es385.0,Es388.3,Es391.7,Es395.0,Es398.3,Es401.7,Es405.0,Es408.4,Es411.7,Es415.0,Es418.4,Es421.7,Es425.1,Es428.4,Es431.8,Es435.1,Es438.4,Es441.8,Es445.1,Es448.5,Es451.8,Es455.2,Es458.5,Es461.9,Es465.2,Es468.6,Es471.9,Es475.3,Es478.6,Es482.0,Es485.3,Es488.7,Es492.0,Es495.4,Es498.7,Es502.1,Es505.4,Es508.8,Es512.1,Es515.5,Es518.8,Es522.2,Es525.5,Es528.9,Es532.2,Es535.6,Es539.0,Es542.3,Es545.7,Es549.0,Es552.4,Es555.7,Es559.1,Es562.4,Es565.8,Es569.1,Es572.5,Es575.8,Es579.2,Es582.5,Es585.9,Es589.3,Es592.6,Es596.0,Es599.3,Es602.7,Es606.0,Es609.4,Es612.7,Es616.1,Es619.4,Es622.8,Es626.1,Es629.5,Es632.9,Es636.2,Es639.5,Es642.9,Es646.3,Es649.6,Es653.0,Es656.3,Es659.7,Es663.0,Es666.4,Es669.7,Es673.0,Es676.4,Es679.8,Es683.1,Es686.4,Es689.8,Es693.1,Es696.5,Es699.8,Es703.2,Es706.5,Es709.9,Es713.2,Es716.5,Es719.9,Es723.2,Es726.6,Es729.9,Es733.2,Es736.6,Es739.9,Es743.3,Es746.6,Es749.9,Es753.3,Es756.6,Es759.9,Es763.3,Es766.6,Es769.9,Es773.3,Es776.6,Es779.9,Es783.3,Es786.6,Es789.9,Es793.2,Es796.6,Es799.9,Es803.2,Es348.3_sd,Es351.7_sd,Es355.0_sd,Es358.3_sd,Es361.7_sd,Es365.0_sd,Es368.3_sd,Es371.6_sd,Es375.0_sd,Es378.3_sd,Es381.7_sd,Es385.0_sd,Es388.3_sd,Es391.7_sd,Es395.0_sd,Es398.3_sd,Es401.7_sd,Es405.0_sd,Es408.4_sd,Es411.7_sd,Es415.0_sd,Es418.4_sd,Es421.7_sd,Es425.1_sd,Es428.4_sd,Es431.8_sd,Es435.1_sd,Es438.4_sd,Es441.8_sd,Es445.1_sd,Es448.5_sd,Es451.8_sd,Es455.2_sd,Es458.5_sd,Es461.9_sd,Es465.2_sd,Es468.6_sd,Es471.9_sd,Es475.3_sd,Es478.6_sd,Es482.0_sd,Es485.3_sd,Es488.7_sd,Es492.0_sd,Es495.4_sd,Es498.7_sd,Es502.1_sd,Es505.4_sd,Es508.8_sd,Es512.1_sd,Es515.5_sd,Es518.8_sd,Es522.2_sd,Es525.5_sd,Es528.9_sd,Es532.2_sd,Es535.6_sd,Es539.0_sd,Es542.3_sd,Es545.7_sd,Es549.0_sd,Es552.4_sd,Es555.7_sd,Es559.1_sd,Es562.4_sd,Es565.8_sd,Es569.1_sd,Es572.5_sd,Es575.8_sd,Es579.2_sd,Es582.5_sd,Es585.9_sd,Es589.3_sd,Es592.6_sd,Es596.0_sd,Es599.3_sd,Es602.7_sd,Es606.0_sd,Es609.4_sd,Es612.7_sd,Es616.1_sd,Es619.4_sd,Es622.8_sd,Es626.1_sd,Es629.5_sd,Es632.9_sd,Es636.2_sd,Es639.5_sd,Es642.9_sd,Es646.3_sd,Es649.6_sd,Es653.0_sd,Es656.3_sd,Es659.7_sd,Es663.0_sd,Es666.4_sd,Es669.7_sd,Es673.0_sd,Es676.4_sd,Es679.8_sd,Es683.1_sd,Es686.4_sd,Es689.8_sd,Es693.1_sd,Es696.5_sd,Es699.8_sd,Es703.2_sd,Es706.5_sd,Es709.9_sd,Es713.2_sd,Es716.5_sd,Es719.9_sd,Es723.2_sd,Es726.6_sd,Es729.9_sd,Es733.2_sd,Es736.6_sd,Es739.9_sd,Es743.3_sd,Es746.6_sd,Es749.9_sd,Es753.3_sd,Es756.6_sd,Es759.9_sd,Es763.3_sd,Es766.6_sd,Es769.9_sd,Es773.3_sd,Es776.6_sd,Es779.9_sd,Es783.3_sd,Es786.6_sd,Es789.9_sd,Es793.2_sd,Es796.6_sd,Es799.9_sd,Es803.2_sd,Es348.3_bincount,Es351.7_bincount,Es355.0_bincount,Es358.3_bincount,Es361.7_bincount,Es365.0_bincount,Es368.3_bincount,Es371.6_bincount,Es375.0_bincount,Es378.3_bincount,Es381.7_bincount,Es385.0_bincount,Es388.3_bincount,Es391.7_bincount,Es395.0_bincount,Es398.3_bincount,Es401.7_bincount,Es405.0_bincount,Es408.4_bincount,Es411.7_bincount,Es415.0_bincount,Es418.4_bincount,Es421.7_bincount,Es425.1_bincount,Es428.4_bincount,Es431.8_bincount,Es435.1_bincount,Es438.4_bincount,Es441.8_bincount,Es445.1_bincount,Es448.5_bincount,Es451.8_bincount,Es455.2_bincount,Es458.5_bincount,Es461.9_bincount,Es465.2_bincount,Es468.6_bincount,Es471.9_bincount,Es475.3_bincount,Es478.6_bincount,Es482.0_bincount,Es485.3_bincount,Es488.7_bincount,Es492.0_bincount,Es495.4_bincount,Es498.7_bincount,Es502.1_bincount,Es505.4_bincount,Es508.8_bincount,Es512.1_bincount,Es515.5_bincount,Es518.8_bincount,Es522.2_bincount,Es525.5_bincount,Es528.9_bincount,Es532.2_bincount,Es535.6_bincount,Es539.0_bincount,Es542.3_bincount,Es545.7_bincount,Es549.0_bincount,Es552.4_bincount,Es555.7_bincount,Es559.1_bincount,Es562.4_bincount,Es565.8_bincount,Es569.1_bincount,Es572.5_bincount,Es575.8_bincount,Es579.2_bincount,Es582.5_bincount,Es585.9_bincount,Es589.3_bincount,Es592.6_bincount,Es596.0_bincount,Es599.3_bincount,Es602.7_bincount,Es606.0_bincount,Es609.4_bincount,Es612.7_bincount,Es616.1_bincount,Es619.4_bincount,Es622.8_bincount,Es626.1_bincount,Es629.5_bincount,Es632.9_bincount,Es636.2_bincount,Es639.5_bincount,Es642.9_bincount,Es646.3_bincount,Es649.6_bincount,Es653.0_bincount,Es656.3_bincount,Es659.7_bincount,Es663.0_bincount,Es666.4_bincount,Es669.7_bincount,Es673.0_bincount,Es676.4_bincount,Es679.8_bincount,Es683.1_bincount,Es686.4_bincount,Es689.8_bincount,Es693.1_bincount,Es696.5_bincount,Es699.8_bincount,Es703.2_bincount,Es706.5_bincount,Es709.9_bincount,Es713.2_bincount,Es716.5_bincount,Es719.9_bincount,Es723.2_bincount,Es726.6_bincount,Es729.9_bincount,Es733.2_bincount,Es736.6_bincount,Es739.9_bincount,Es743.3_bincount,Es746.6_bincount,Es749.9_bincount,Es753.3_bincount,Es756.6_bincount,Es759.9_bincount,Es763.3_bincount,Es766.6_bincount,Es769.9_bincount,Es773.3_bincount,Es776.6_bincount,Es779.9_bincount,Es783.3_bincount,Es786.6_bincount,Es789.9_bincount,Es793.2_bincount,Es796.6_bincount,Es799.9_bincount,Es803.2_bincount,Lu349.1,Lu352.4,Lu355.7,Lu359.0,Lu362.4,Lu365.7,Lu369.0,Lu372.3,Lu375.7,Lu379.0,Lu382.3,Lu385.6,Lu389.0,Lu392.3,Lu395.6,Lu399.0,Lu402.3,Lu405.6,Lu409.0,Lu412.3,Lu415.7,Lu419.0,Lu422.3,Lu425.7,Lu429.0,Lu432.4,Lu435.7,Lu439.0,Lu442.4,Lu445.7,Lu449.1,Lu452.4,Lu455.7,Lu459.1,Lu462.4,Lu465.8,Lu469.1,Lu472.5,Lu475.8,Lu479.1,Lu482.5,Lu485.8,Lu489.2,Lu492.5,Lu495.9,Lu499.2,Lu502.6,Lu505.9,Lu509.3,Lu512.6,Lu516.0,Lu519.3,Lu522.7,Lu526.0,Lu529.4,Lu532.7,Lu536.1,Lu539.4,Lu542.8,Lu546.1,Lu549.5,Lu552.8,Lu556.2,Lu559.5,Lu562.9,Lu566.2,Lu569.6,Lu572.9,Lu576.3,Lu579.6,Lu583.0,Lu586.3,Lu589.7,Lu593.0,Lu596.4,Lu599.7,Lu603.1,Lu606.4,Lu609.8,Lu613.1,Lu616.5,Lu619.8,Lu623.1,Lu626.5,Lu629.9,Lu633.2,Lu636.5,Lu639.9,Lu643.2,Lu646.6,Lu649.9,Lu653.3,Lu656.6,Lu660.0,Lu663.3,Lu666.7,Lu670.0,Lu673.4,Lu676.7,Lu680.0,Lu683.4,Lu686.7,Lu690.1,Lu693.4,Lu696.7,Lu700.1,Lu703.4,Lu706.8,Lu710.1,Lu713.4,Lu716.8,Lu720.1,Lu723.5,Lu726.8,Lu730.1,Lu733.5,Lu736.8,Lu740.1,Lu743.5,Lu746.8,Lu750.1,Lu753.5,Lu756.8,Lu760.1,Lu763.5,Lu766.8,Lu770.1,Lu773.4,Lu776.8,Lu780.1,Lu783.4,Lu786.7,Lu790.0,Lu793.4,Lu796.7,Lu800.0,Lu803.3,Lu349.1_sd,Lu352.4_sd,Lu355.7_sd,Lu359.0_sd,Lu362.4_sd,Lu365.7_sd,Lu369.0_sd,Lu372.3_sd,Lu375.7_sd,Lu379.0_sd,Lu382.3_sd,Lu385.6_sd,Lu389.0_sd,Lu392.3_sd,Lu395.6_sd,Lu399.0_sd,Lu402.3_sd,Lu405.6_sd,Lu409.0_sd,Lu412.3_sd,Lu415.7_sd,Lu419.0_sd,Lu422.3_sd,Lu425.7_sd,Lu429.0_sd,Lu432.4_sd,Lu435.7_sd,Lu439.0_sd,Lu442.4_sd,Lu445.7_sd,Lu449.1_sd,Lu452.4_sd,Lu455.7_sd,Lu459.1_sd,Lu462.4_sd,Lu465.8_sd,Lu469.1_sd,Lu472.5_sd,Lu475.8_sd,Lu479.1_sd,Lu482.5_sd,Lu485.8_sd,Lu489.2_sd,Lu492.5_sd,Lu495.9_sd,Lu499.2_sd,Lu502.6_sd,Lu505.9_sd,Lu509.3_sd,Lu512.6_sd,Lu516.0_sd,Lu519.3_sd,Lu522.7_sd,Lu526.0_sd,Lu529.4_sd,Lu532.7_sd,Lu536.1_sd,Lu539.4_sd,Lu542.8_sd,Lu546.1_sd,Lu549.5_sd,Lu552.8_sd,Lu556.2_sd,Lu559.5_sd,Lu562.9_sd,Lu566.2_sd,Lu569.6_sd,Lu572.9_sd,Lu576.3_sd,Lu579.6_sd,Lu583.0_sd,Lu586.3_sd,Lu589.7_sd,Lu593.0_sd,Lu596.4_sd,Lu599.7_sd,Lu603.1_sd,Lu606.4_sd,Lu609.8_sd,Lu613.1_sd,Lu616.5_sd,Lu619.8_sd,Lu623.1_sd,Lu626.5_sd,Lu629.9_sd,Lu633.2_sd,Lu636.5_sd,Lu639.9_sd,Lu643.2_sd,Lu646.6_sd,Lu649.9_sd,Lu653.3_sd,Lu656.6_sd,Lu660.0_sd,Lu663.3_sd,Lu666.7_sd,Lu670.0_sd,Lu673.4_sd,Lu676.7_sd,Lu680.0_sd,Lu683.4_sd,Lu686.7_sd,Lu690.1_sd,Lu693.4_sd,Lu696.7_sd,Lu700.1_sd,Lu703.4_sd,Lu706.8_sd,Lu710.1_sd,Lu713.4_sd,Lu716.8_sd,Lu720.1_sd,Lu723.5_sd,Lu726.8_sd,Lu730.1_sd,Lu733.5_sd,Lu736.8_sd,Lu740.1_sd,Lu743.5_sd,Lu746.8_sd,Lu750.1_sd,Lu753.5_sd,Lu756.8_sd,Lu760.1_sd,Lu763.5_sd,Lu766.8_sd,Lu770.1_sd,Lu773.4_sd,Lu776.8_sd,Lu780.1_sd,Lu783.4_sd,Lu786.7_sd,Lu790.0_sd,Lu793.4_sd,Lu796.7_sd,Lu800.0_sd,Lu803.3_sd,Lu349.1_bincount,Lu352.4_bincount,Lu355.7_bincount,Lu359.0_bincount,Lu362.4_bincount,Lu365.7_bincount,Lu369.0_bincount,Lu372.3_bincount,Lu375.7_bincount,Lu379.0_bincount,Lu382.3_bincount,Lu385.6_bincount,Lu389.0_bincount,Lu392.3_bincount,Lu395.6_bincount,Lu399.0_bincount,Lu402.3_bincount,Lu405.6_bincount,Lu409.0_bincount,Lu412.3_bincount,Lu415.7_bincount,Lu419.0_bincount,Lu422.3_bincount,Lu425.7_bincount,Lu429.0_bincount,Lu432.4_bincount,Lu435.7_bincount,Lu439.0_bincount,Lu442.4_bincount,Lu445.7_bincount,Lu449.1_bincount,Lu452.4_bincount,Lu455.7_bincount,Lu459.1_bincount,Lu462.4_bincount,Lu465.8_bincount,Lu469.1_bincount,Lu472.5_bincount,Lu475.8_bincount,Lu479.1_bincount,Lu482.5_bincount,Lu485.8_bincount,Lu489.2_bincount,Lu492.5_bincount,Lu495.9_bincount,Lu499.2_bincount,Lu502.6_bincount,Lu505.9_bincount,Lu509.3_bincount,Lu512.6_bincount,Lu516.0_bincount,Lu519.3_bincount,Lu522.7_bincount,Lu526.0_bincount,Lu529.4_bincount,Lu532.7_bincount,Lu536.1_bincount,Lu539.4_bincount,Lu542.8_bincount,Lu546.1_bincount,Lu549.5_bincount,Lu552.8_bincount,Lu556.2_bincount,Lu559.5_bincount,Lu562.9_bincount,Lu566.2_bincount,Lu569.6_bincount,Lu572.9_bincount,Lu576.3_bincount,Lu579.6_bincount,Lu583.0_bincount,Lu586.3_bincount,Lu589.7_bincount,Lu593.0_bincount,Lu596.4_bincount,Lu599.7_bincount,Lu603.1_bincount,Lu606.4_bincount,Lu609.8_bincount,Lu613.1_bincount,Lu616.5_bincount,Lu619.8_bincount,Lu623.1_bincount,Lu626.5_bincount,Lu629.9_bincount,Lu633.2_bincount,Lu636.5_bincount,Lu639.9_bincount,Lu643.2_bincount,Lu646.6_bincount,Lu649.9_bincount,Lu653.3_bincount,Lu656.6_bincount,Lu660.0_bincount,Lu663.3_bincount,Lu666.7_bincount,Lu670.0_bincount,Lu673.4_bincount,Lu676.7_bincount,Lu680.0_bincount,Lu683.4_bincount,Lu686.7_bincount,Lu690.1_bincount,Lu693.4_bincount,Lu696.7_bincount,Lu700.1_bincount,Lu703.4_bincount,Lu706.8_bincount,Lu710.1_bincount,Lu713.4_bincount,Lu716.8_bincount,Lu720.1_bincount,Lu723.5_bincount,Lu726.8_bincount,Lu730.1_bincount,Lu733.5_bincount,Lu736.8_bincount,Lu740.1_bincount,Lu743.5_bincount,Lu746.8_bincount,Lu750.1_bincount,Lu753.5_bincount,Lu756.8_bincount,Lu760.1_bincount,Lu763.5_bincount,Lu766.8_bincount,Lu770.1_bincount,Lu773.4_bincount,Lu776.8_bincount,Lu780.1_bincount,Lu783.4_bincount,Lu786.7_bincount,Lu790.0_bincount,Lu793.4_bincount,Lu796.7_bincount,Lu800.0_bincount,Lu803.3_bincount /units=m,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,uW/cm^2/nm,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,uW/cm^2/nm/sr,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none,none /end_header 0.0 -9999 -9999 -9999 -9999 -9999 -9999 -9999 -9999 5.269094e+01 5.345030e+01 5.093137e+01 4.999869e+01 5.363773e+01 5.529243e+01 5.866131e+01 7.088632e+01 8.442525e+01 8.963815e+01 9.154056e+01 9.455967e+01 9.678498e+01 9.720489e+01 9.666358e+01 9.453479e+01 9.126397e+01 9.320212e+01 9.916651e+01 1.046652e+02 1.095037e+02 1.149139e+02 1.195675e+02 1.222426e+02 1.236822e+02 1.251688e+02 1.258644e+02 1.254856e+02 1.249915e+02 1.259880e+02 1.277882e+02 1.290555e+02 1.275302e+02 1.239294e+02 1.229870e+02 1.250920e+02 1.259921e+02 1.248214e+02 1.234611e+02 1.233986e+02 1.241238e+02 1.226547e+02 1.196007e+02 1.188081e+02 1.209352e+02 1.225859e+02 1.237381e+02 1.248049e+02 1.244553e+02 1.235193e+02 1.228466e+02 1.231823e+02 1.235908e+02 1.234547e+02 1.225441e+02 1.214643e+02 1.206964e+02 1.197868e+02 1.187646e+02 1.183862e+02 1.185399e+02 1.191659e+02 1.190143e+02 1.158739e+02 1.121498e+02 1.109541e+02 1.123634e+02 1.140112e+02 1.159962e+02 1.169660e+02 1.168252e+02 1.159010e+02 1.151525e+02 1.148416e+02 1.141378e+02 1.125452e+02 1.113854e+02 1.111469e+02 1.118813e+02 1.121402e+02 1.112627e+02 1.089431e+02 1.066229e+02 1.049075e+02 1.047452e+02 1.068412e+02 1.093759e+02 1.103204e+02 1.105747e+02 1.101683e+02 1.098587e+02 1.085596e+02 1.044537e+02 9.863618e+01 9.435180e+01 9.375685e+01 9.384011e+01 9.405193e+01 9.481047e+01 9.599714e+01 9.586466e+01 9.040417e+01 8.108391e+01 7.440473e+01 7.242837e+01 7.230523e+01 7.575086e+01 8.164580e+01 8.708012e+01 9.089516e+01 9.358662e+01 9.488398e+01 9.463082e+01 9.123004e+01 7.938900e+01 6.480278e+01 6.068359e+01 7.033458e+01 8.178996e+01 8.773263e+01 8.977520e+01 9.004845e+01 8.889023e+01 8.640111e+01 8.378755e+01 8.223903e+01 8.070432e+01 7.998333e+01 7.943231e+01 -9999 -9999 -9999 -9999 -9999 -9999 -9999 -9999 3.511900e-01 3.712000e-01 3.590400e-01 3.565100e-01 3.878300e-01 4.020500e-01 4.385000e-01 5.246000e-01 6.335000e-01 6.772700e-01 6.946400e-01 7.305800e-01 7.570600e-01 7.700700e-01 7.698700e-01 7.567200e-01 7.427500e-01 7.613300e-01 8.207600e-01 8.707300e-01 9.164500e-01 9.696300e-01 1.015820e+00 1.045450e+00 1.067060e+00 1.086040e+00 1.105720e+00 1.105410e+00 1.110560e+00 1.127910e+00 1.152260e+00 1.171390e+00 1.162430e+00 1.134870e+00 1.134360e+00 1.159520e+00 1.180780e+00 1.175010e+00 1.172960e+00 1.178920e+00 1.189040e+00 1.183210e+00 1.157560e+00 1.153370e+00 1.180640e+00 1.197770e+00 1.218240e+00 1.237610e+00 1.235260e+00 1.231800e+00 1.230230e+00 1.235500e+00 1.247390e+00 1.250590e+00 1.244660e+00 1.237100e+00 1.235940e+00 1.234930e+00 1.228800e+00 1.233710e+00 1.239480e+00 1.248290e+00 1.249720e+00 1.226670e+00 1.193680e+00 1.184810e+00 1.201920e+00 1.220020e+00 1.233160e+00 1.251380e+00 1.252630e+00 1.243280e+00 1.239470e+00 1.241050e+00 1.239890e+00 1.224560e+00 1.217200e+00 1.220250e+00 1.229340e+00 1.237740e+00 1.226970e+00 1.211940e+00 1.191140e+00 1.170940e+00 1.174130e+00 1.196910e+00 1.222010e+00 1.235710e+00 1.240640e+00 1.242760e+00 1.236980e+00 1.225130e+00 1.187370e+00 1.129510e+00 1.086360e+00 1.084580e+00 1.092020e+00 1.098510e+00 1.097010e+00 1.118310e+00 1.116550e+00 1.049760e+00 9.592800e-01 8.914700e-01 8.664500e-01 8.659400e-01 9.083900e-01 9.701500e-01 1.036400e+00 1.069280e+00 1.091120e+00 1.105680e+00 1.103850e+00 1.068120e+00 9.363200e-01 7.728000e-01 7.308200e-01 8.409100e-01 9.742300e-01 1.031950e+00 1.059790e+00 1.065760e+00 1.052760e+00 1.026380e+00 9.945700e-01 9.804800e-01 9.720800e-01 9.643400e-01 9.589600e-01 0 0 0 0 0 0 0 0 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 870 -9999 -9999 -9999 -9999 -9999 -9999 -9999 -9999 8.914000e-02 9.286000e-02 9.201000e-02 9.723000e-02 1.085800e-01 1.156100e-01 1.307500e-01 1.653000e-01 1.982500e-01 2.140100e-01 2.264100e-01 2.416600e-01 2.553900e-01 2.653600e-01 2.731400e-01 2.752200e-01 2.772100e-01 2.961800e-01 3.261100e-01 3.551300e-01 3.846200e-01 4.179400e-01 4.475600e-01 4.717000e-01 4.912800e-01 5.139500e-01 5.304500e-01 5.440900e-01 5.580100e-01 5.789400e-01 6.016100e-01 6.204300e-01 6.220500e-01 6.146200e-01 6.209200e-01 6.388400e-01 6.466300e-01 6.415900e-01 6.355900e-01 6.326900e-01 6.247800e-01 6.037100e-01 5.830300e-01 5.829800e-01 5.980600e-01 6.079500e-01 6.115400e-01 6.139000e-01 6.057800e-01 5.940500e-01 5.829300e-01 5.728800e-01 5.613800e-01 5.497900e-01 5.377300e-01 5.244400e-01 5.123000e-01 4.957100e-01 4.750000e-01 4.511000e-01 4.234000e-01 3.907000e-01 3.522700e-01 3.050300e-01 2.593500e-01 2.226600e-01 1.921800e-01 1.657500e-01 1.476200e-01 1.360800e-01 1.279100e-01 1.213500e-01 1.161700e-01 1.117300e-01 1.070700e-01 1.018200e-01 9.711000e-02 9.361000e-02 9.089000e-02 8.788000e-02 8.399000e-02 7.919000e-02 7.413000e-02 6.894000e-02 6.392000e-02 6.085000e-02 5.959000e-02 5.834000e-02 5.729000e-02 5.666000e-02 5.584000e-02 5.420000e-02 5.068000e-02 4.550000e-02 4.061000e-02 3.697000e-02 3.303000e-02 2.932000e-02 2.591000e-02 2.288000e-02 1.960000e-02 1.567000e-02 1.195000e-02 9.040000e-03 7.270000e-03 5.670000e-03 4.300000e-03 3.670000e-03 3.280000e-03 3.070000e-03 2.790000e-03 2.680000e-03 2.630000e-03 2.470000e-03 2.060000e-03 1.740000e-03 1.880000e-03 1.960000e-03 2.020000e-03 2.140000e-03 2.310000e-03 2.380000e-03 2.420000e-03 2.250000e-03 2.310000e-03 2.300000e-03 2.310000e-03 2.480000e-03 -9999 -9999 -9999 -9999 -9999 -9999 -9999 -9999 -9999 5.680000e-03 5.690000e-03 5.490000e-03 5.610000e-03 6.120000e-03 6.290000e-03 6.890000e-03 8.390000e-03 9.790000e-03 1.036000e-02 1.058000e-02 1.094000e-02 1.144000e-02 1.157000e-02 1.163000e-02 1.145000e-02 1.125000e-02 1.171000e-02 1.257000e-02 1.320000e-02 1.398000e-02 1.496000e-02 1.566000e-02 1.610000e-02 1.638000e-02 1.686000e-02 1.709000e-02 1.731000e-02 1.746000e-02 1.782000e-02 1.833000e-02 1.865000e-02 1.845000e-02 1.805000e-02 1.813000e-02 1.858000e-02 1.861000e-02 1.847000e-02 1.839000e-02 1.828000e-02 1.819000e-02 1.770000e-02 1.709000e-02 1.717000e-02 1.753000e-02 1.766000e-02 1.775000e-02 1.782000e-02 1.766000e-02 1.735000e-02 1.708000e-02 1.698000e-02 1.682000e-02 1.648000e-02 1.628000e-02 1.599000e-02 1.578000e-02 1.538000e-02 1.492000e-02 1.450000e-02 1.391000e-02 1.329000e-02 1.255000e-02 1.153000e-02 1.052000e-02 9.770000e-03 9.270000e-03 8.720000e-03 8.350000e-03 8.130000e-03 7.860000e-03 7.640000e-03 7.450000e-03 7.300000e-03 7.180000e-03 6.930000e-03 6.760000e-03 6.650000e-03 6.550000e-03 6.400000e-03 6.270000e-03 6.070000e-03 5.810000e-03 5.610000e-03 5.400000e-03 5.330000e-03 5.410000e-03 5.220000e-03 5.190000e-03 5.240000e-03 5.210000e-03 5.090000e-03 4.930000e-03 4.420000e-03 4.230000e-03 4.120000e-03 3.810000e-03 3.590000e-03 3.510000e-03 3.360000e-03 3.010000e-03 2.720000e-03 2.340000e-03 2.020000e-03 1.880000e-03 1.690000e-03 1.630000e-03 1.480000e-03 1.450000e-03 1.380000e-03 1.340000e-03 1.250000e-03 1.340000e-03 1.320000e-03 1.190000e-03 1.110000e-03 1.250000e-03 1.220000e-03 1.090000e-03 1.300000e-03 1.420000e-03 1.330000e-03 1.350000e-03 1.290000e-03 1.310000e-03 1.340000e-03 1.390000e-03 1.440000e-03 -9999 0 0 0 0 0 0 0 0 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 340 337 338 331 335 328 335 326 309 302 297 296 307 309 318 323 308 315 310 314 318 301 0